Search Results for "electronic circuit simulator"

Showing 217 open source projects for "electronic circuit simulator"

View related business solutions
  • Our Free Plans just got better! | Auth0 by Okta Icon
    Our Free Plans just got better! | Auth0 by Okta

    With up to 25k MAUs and unlimited Okta connections, our Free Plan lets you focus on what you do best—building great apps.

    You asked, we delivered! Auth0 is excited to expand our Free and Paid plans to include more options so you can focus on building, deploying, and scaling applications without having to worry about your secuirty. Auth0 now, thank yourself later.
    Try free now
  • Bright Data - All in One Platform for Proxies and Web Scraping Icon
    Bright Data - All in One Platform for Proxies and Web Scraping

    Say goodbye to blocks, restrictions, and CAPTCHAs

    Bright Data offers the highest quality proxies with automated session management, IP rotation, and advanced web unlocking technology. Enjoy reliable, fast performance with easy integration, a user-friendly dashboard, and enterprise-grade scaling. Powered by ethically-sourced residential IPs for seamless web scraping.
    Get Started
  • 1
    Quite Universal Circuit Simulator

    Quite Universal Circuit Simulator

    A circuit simulator with graphical user interface (GUI)

    Qucs is a circuit simulator with graphical user interface. The software aims to support all kinds of circuit simulation types, e.g. DC, AC, S-parameter, Transient, Noise and Harmonic Balance analysis. Pure digital simulations are also supported.
    Leader badge
    Downloads: 1,687 This Week
    Last Update:
    See Project
  • 2
    EEC Solver is an app for the HP Prime calculator. It can be used to solve AC or DC circuits with linear and non-linear elements.
    Downloads: 10 This Week
    Last Update:
    See Project
  • 3
    MicroPython

    MicroPython

    Python implementation for microcontrollers and constrained systems

    MicroPython is a lean and efficient implementation of the Python 3 programming language that includes a small subset of the Python standard library and is optimised to run on microcontrollers and in constrained environments. The MicroPython pyboard is a compact electronic circuit board that runs MicroPython on the bare metal, giving you a low-level Python operating system that can be used to control all kinds of electronic projects. MicroPython is packed full of advanced features...
    Downloads: 21 This Week
    Last Update:
    See Project
  • 4
    LibrePCB

    LibrePCB

    A powerful, innovative and intuitive EDA suite for everyone

    LibrePCB is a free, cross-platform, easy-to-use electronic design automation suite to draw schematics and design printed circuit boards – for makers, students, and professionals, from beginners to experts. LibrePCB is developed with portability in mind to make it run on virtually any computer – including Windows, Linux, and macOS. It’s available in 22 different languages, and it doesn’t cost any money. Simple, intuitive, well-known user-interface concepts help to get started with LibrePCB very...
    Downloads: 12 This Week
    Last Update:
    See Project
  • PRTG Network Monitor | Making the lives of sysadmins easier Icon
    PRTG Network Monitor | Making the lives of sysadmins easier

    Stay ahead of IT infrastructure issues

    PRTG Network Monitor is an all-inclusive monitoring software solution developed by Paessler. Equipped with an easy-to-use, intuitive interface with a cutting-edge monitoring engine, PRTG Network Monitor optimizes connections and workloads as well as reduces operational costs by avoiding outages while saving time and controlling service level agreements (SLAs). The solution is packed with specialized monitoring features that include flexible alerting, cluster failover solution, distributed monitoring, in-depth reporting, maps and dashboards, and more.
    Learn More
  • 5
    Qulacs

    Qulacs

    Variational Quantum Circuit Simulator for Quantum Computation Research

    Variational Quantum Circuit Simulator for Quantum Computation Research. Qulacs is a Python/C++ library for fast simulation of large, noisy, or parametric quantum circuits. Qulacs is developed at QunaSys, Osaka University, NTT, and Fujitsu.
    Downloads: 2 This Week
    Last Update:
    See Project
  • 6
    OpenFermion

    OpenFermion

    The electronic structure package for quantum computers

    OpenFermion is an open source library for compiling and analyzing quantum algorithms to simulate fermionic systems, including quantum chemistry. Among other functionalities, this version features data structures and tools for obtaining and manipulating representations of fermionic and qubit Hamiltonians. For more information, see our release paper. Currently, OpenFermion is tested on Mac, Windows, and Linux. We recommend using Mac or Linux because the electronic structure plugins are only...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 7
    Amazon Braket Python SDK

    Amazon Braket Python SDK

    A python SDK for interacting with quantum devices on Amazon Braket

    The Amazon Braket Python SDK is an open-source library to design and build quantum circuits, submit them to Amazon Braket devices as quantum tasks, and monitor their execution. Before you begin working with the Amazon Braket SDK, make sure that you've installed or configured the following prerequisites. Download and install Python 3.7.2 or greater from Python.org. As a managed service, Amazon Braket performs operations on your behalf on the AWS hardware that is managed by Amazon Braket....
    Downloads: 0 This Week
    Last Update:
    See Project
  • 8
    Printed Circuit Board Layout Tool
    PCB is a tool for the layout of printed circuit boards. PCB can produce industry standard RS-274X and Excellon NC-Drill format output for submission to board manufacturers.
    Leader badge
    Downloads: 76 This Week
    Last Update:
    See Project
  • 9
    PICSimLab - Prog. IC Simulator Lab.

    PICSimLab - Prog. IC Simulator Lab.

    PICSimLab is a realtime emulator for PIC, Arduino, STM32, ESP32, ...

    PICSimLab is a realtime emulator of development boards with MPLABX/avr-gdb debugger integration. PICSimLab supports microcontrollers from picsim, simavr, uCsim, qemu-stm32, qemu-esp32, and gpsim. PICSimLab has integration with MPLABX/Arduino IDE for programming the microcontroller's boards. As the purpose of PICSimLab is to emulate real hardware it does not have any source code editing support. For code editing and debugging the same tools used for a real board should be used with PICSimLab,...
    Leader badge
    Downloads: 779 This Week
    Last Update:
    See Project
  • Make Recruiting and Onboarding Easy Icon
    Make Recruiting and Onboarding Easy

    Simple, easy-to-use applicant tracking and employee Onboarding system for any sized organization.

    Take away the pain and hassle associated with applicant recruitment, hiring, and onboarding with ApplicantStack. Designed for HR professionals and recruiters, ApplicantStack helps streamline the recruiting and onboarding processes to improve productivity and reduce costs. ApplicantStack provides a complete toolkit that includes tools for posting, launching, and advertising jobs, assessing and managing candidates, collaborating with teams, centralizing information for quick hiring and onboarding, and more.
    Learn More
  • 10
    gpsim - The gnupic Simulator
    gpsim is an open sourced simulator for Microchip's PIC microcontrollers. It supports all three families of PICs: 12-bit, 14-bit, and 16-bit cores. See also gputils http://gputils.sourceforge.net/
    Leader badge
    Downloads: 47 This Week
    Last Update:
    See Project
  • 11
    ngspice
    Ngspice project aims to improve the spice3f5 circuit simulator.
    Leader badge
    Downloads: 1,926 This Week
    Last Update:
    See Project
  • 12
    SimulIDE

    SimulIDE

    Real Time Electronic Circuit Simulator.

    Real Time Electronic Circuit Simulator. Include PIC, AVR and Arduino simulation. Code Editor & Debugger for Arduino, GcBasic, PIC asm, AVR asm. Downloads: https://simulide.com/p/downloads/ WebSite: https://simulide.com Forum: https://simulide.com/p/forum/ Patreon: https://www.patreon.com/simulide AVR simulation provided by simavr: https://github.com/buserror/simavr PIC simulation provided by GpSim: http://gpsim.sourceforge.net/
    Leader badge
    Downloads: 555 This Week
    Last Update:
    See Project
  • 13
    KiCad EDA

    KiCad EDA

    A Cross Platform and Open Source Electronics Design Automation Suite

    Leader badge
    Downloads: 216 This Week
    Last Update:
    See Project
  • 14
    Digital Logic Design

    Digital Logic Design

    Digital Circuits Design and Simulation

    DLD V 2.0 Released Digital Logic Design is a Software tool for designing and simulating digital circuits. It provides digital parts ranging from simple gates to Arithmetic Logic Unit. You may start your circuit from simple gates and flipflops and keep on converting them into ICs. These ICs, later on, may be incorporated into other circuits to built more complex circuits like CPU. You may even use SOP expressions to generate digital circuits in IC form. You can use this software to design...
    Leader badge
    Downloads: 142 This Week
    Last Update:
    See Project
  • 15
    GNU SPICE GUI provides a GUI front-end for various freely available electronic circuit simulation engines ie. NG-SPICE and GNU-CAP. It's core function is to generate simulation engine instructions based on user input. However, it also offers extra functionality via applications and utilities developed by others. Electronic Design Automation (EDA) tool suites are used to provide schematic capture and editing, and schematic to netlist conversion. Waveform data viewers are used to display...
    Leader badge
    Downloads: 31 This Week
    Last Update:
    See Project
  • 16
    XSCHEM

    XSCHEM

    Schematic circuit editor for VLSI and Mixed mode circuit simulation.

    Xschem is a schematic capture program, it allows to create a hierarchical representation of circuits with a top down approach . By focusing on interconnections, hierarchy and properties a complex system (IC) can be described in terms of simpler building blocks. A VHDL, Verilog or Spice netlist can be generated from the drawn schematic, allowing the simulation of the circuit. Key feature of the program is its drawing engine written in C and using directly the Xlib drawing primitives; this gives...
    Downloads: 25 This Week
    Last Update:
    See Project
  • 17
    UMHDL

    UMHDL

    Integrated Development Environment (IDE) for learning HDL

    ..., the interface developed acts as a front-end that allows writing code (with syntax highlighting), invokes an external VHDL compiler and simulator (such as GHDL), and displays the result of the simulation graphically as waveforms (invoking to GTKWave).
    Downloads: 16 This Week
    Last Update:
    See Project
  • 18
    PyAMS

    PyAMS

    PyAMS (Python for Analog and Mixed Signals) CAD approach

    PyAMS (Python for Analog and Mixed Signals) is used to simplify modeling analog elements and simulate electronic circuit using Python The objectives of PyAMS is: - Creating new PyAMS models of electrical elements by using Python language; - Creating new symbols for models; - Drawing circuit by schematic (CAD approach); - Simulating the circuit; - Presenting simulation results in waveform or in probe. - PyAMS used Python3+ with PyQt5. - Licensed under: PyAMS is free to use. GPLv3...
    Downloads: 5 This Week
    Last Update:
    See Project
  • 19

    Python Power Electronics

    Circuit simulator for power electronics

    An open source circuit simulator for power electronics applications. The objective is to provide a platform that will enable an advanced user to simulate large systems with several converters without being an excessive burden on the CPU.
    Downloads: 3 This Week
    Last Update:
    See Project
  • 20
    Open-source interpreted Verilog simulator with a feature set and performance similar to Verilog-XL. Implements all IEEE 1364-1995 features along with some Verilog-2001 features. Full support for Verilog PLIs.
    Leader badge
    Downloads: 7 This Week
    Last Update:
    See Project
  • 21
    dspice
    What is DSPICE? DSPICE (Designing Circuits and Simulation by SPICE) is used to simplify modeling analog elements and simulate electronic circuit using SPICE Programming Language The objectives of DSPICE is: . Creating new spice models of electrical elements; . Creating new symbols for models; . Drawing circuit by schematic (CAD approach); . Simulating the circuit by ngspice commands; . Presenting simulation results in waveform; . Licensed under: DSPICE is free to use. GPLv3 ; . Open...
    Downloads: 2 This Week
    Last Update:
    See Project
  • 22
    IEC 60870-5 104 Protocol download

    IEC 60870-5 104 Protocol download

    IEC 104 RTU Server Client Simulator Source Code Library Win Linux

    v21.06.008 Complete implementation of iec 104 protocol standard including File transfer. Make your RTU, protocol converter, Gateway, HMI, Data concentrator compatible with iec 104. *Industry Proved * Worldwide Customers Download Evaluation Kit - IEC 104 Development Bundle In the Development Bundle, We included IEC 104 Server & Client Simulator, Windows & Linux SDK. http://www.freyrscada.com/iec-60870-5-104.php http://www.freyrscada.com/iec-60870-5-104-Server-Simulator.php...
    Downloads: 9 This Week
    Last Update:
    See Project
  • 23
    linNet

    linNet

    The Software for symbolic Analysis of linear Electronic Circuits

    linNet is an application to compute the transfer function of linear, electronic circuits. The computation is done symbolically, not numerically, and the result is a formula rather than a number or a series of such. The found formula is the Laplace transform of the dependencies of the voltages and currents in the circuit on the input voltages and currents. A linear electronic circuit is a combination of the supported basic devices; these are resistor, conductance, capacitor, inductivity...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 24
    Excel file of Supply Chain Competition Game, an offline management simulator that generates comprehensive business results across a wide range of parameters. The objective is to create a serious game with a broader set of variables compared to traditional management simulators.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 25

    LaSolv

    Solves symbolic electrical AC circuit equations

    In electrical engineering, AC circuits are often used in the design process. However, deriving the gain, input impedance or what have you is tedious and error prone. LaSolv takes a SPICE like description of your circuit and solves for whatever parameter you specify- voltage gain, trans-impedance, input impedance, etc.
    Downloads: 0 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • 3
  • 4
  • 5
  • Next