Showing 138 open source projects for "c to verilog"

View related business solutions
  • Red Hat Enterprise Linux on Microsoft Azure Icon
    Red Hat Enterprise Linux on Microsoft Azure

    Deploy Red Hat Enterprise Linux on Microsoft Azure for a secure, reliable, and scalable cloud environment, fully integrated with Microsoft services.

    Red Hat Enterprise Linux (RHEL) on Microsoft Azure provides a secure, reliable, and flexible foundation for your cloud infrastructure. Red Hat Enterprise Linux on Microsoft Azure is ideal for enterprises seeking to enhance their cloud environment with seamless integration, consistent performance, and comprehensive support.
  • Pimberly PIM - the leading enterprise Product Information Management platform. Icon
    Pimberly PIM - the leading enterprise Product Information Management platform.

    Pimberly enables businesses to create amazing online experiences with richer, differentiated product descriptions.

    Drive amazing product experiences with quality product data.
  • 1
    Icarus Verilog is an open source Verilog compiler that supports the IEEE-1364 Verilog HDL including IEEE1364-2005 plus extensions.
    Leader badge
    Downloads: 192 This Week
    Last Update:
    See Project
  • 2
    AWS EC2 FPGA

    AWS EC2 FPGA

    AWS EC2 FPGA hardware and software development Kit

    ... and can be deployed in a scalable and secure way. Development experience leverages an optimized compiler to allow easy new accelerator development or migration of existing C/C++/openCL, Verilog/VHDL to AWS FPGA instances. Fully custom hardware development experience provides hardware developers with the tools required for developing AFIs for AWS FPGA instances.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 3
    GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing.
    Leader badge
    Downloads: 951 This Week
    Last Update:
    See Project
  • 4
    wxMEdit

    wxMEdit

    wxMEdit, Cross-platform Text/Hex Editor, Improved Version of MadEdit

    •Added automatically checking for updates •Added bookmark support •Added right-click context menu for each tab •Added purging histories support •Added selecting a line by triple click •Added FreeBASIC syntax file •Added an option to place configuration files into %APPDATA% directory under Windows •Improved support for Find/Replace •Improved Mac OS X support •Improved system integration under Windows •Improved encoding detection result •Improved Hex editing support •Added more...
    Leader badge
    Downloads: 295 This Week
    Last Update:
    See Project
  • AI-powered conversation intelligence software Icon
    AI-powered conversation intelligence software

    Unlock call analytics that provide actionable insights with our call tracking software, empowering you to identify what's working and what's not.

    Every customer interaction is vital to your business success and revenue growth. With Jiminny’s AI-powered conversation intelligence software, we take recording, capturing, and meticulous analysis of call recordings to the next level. Unlock call analytics that provide actionable insights with our call tracking software, empowering you to identify what's working and what's not. Seamlessly support your biggest objectives across the entire business landscape with our innovative call tracking system.
  • 5
    XSCHEM

    XSCHEM

    Schematic circuit editor for VLSI and Mixed mode circuit simulation.

    Xschem is a schematic capture program, it allows to create a hierarchical representation of circuits with a top down approach . By focusing on interconnections, hierarchy and properties a complex system (IC) can be described in terms of simpler building blocks. A VHDL, Verilog or Spice netlist can be generated from the drawn schematic, allowing the simulation of the circuit. Key feature of the program is its drawing engine written in C and using directly the Xlib drawing primitives; this gives...
    Downloads: 25 This Week
    Last Update:
    See Project
  • 6
    A new 64-bit RISC platform, complemented by a set of development tools, standards specifications and synthesizable VHDL implementations.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 7
    Gwyscope

    Gwyscope

    Open hardware SPM controller with advanced sampling support.

    Gwyscope is a low cost, open hardware, Digital Signal Processor (DSP) suitable for Scanning Probe Microscopy measurements, focusing on demonstrating the concept of adaptive scanning, general XYZ data acquisition and statistical data processing on the controller level. More details can be found in: M. Valtr et al., Scanning Probe Microscopy controller with advanced sampling support, HardwareX, Volume 15, e00451 https://www.hardware-x.com/article/S2468-0672(23)00058-5/fulltext It...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 8
    Baya - SoC Integration Platform

    Baya - SoC Integration Platform

    Best in class SoC Integration Platform, IP-XACT, Verilog VHDL, UPF

    1. Comes with 200+ high level Tcl commands around SoC platform assembly 2. Easy to start - use the verilog2baya tool to convert existing SoC/SS into Baya 3. Adhoc and Interface based connections 4. Autoconnections 5. Rule based connections between component ports 6. A variety of SoC integration Methodologies 6.a. XLS/CSV Based connections 6.b. Port-to-Port Adhoc connections 6.c. IP-XACT and System Verilog Interface based connections 6.d. ... 7. Maintains a connectivity database...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 9
    ALCHA

    ALCHA

    A New Programming Language for FPGA Projects

    ALCHA aims to reduce FPGA project develop time by means of automation and abstraction, but without loosing the low-level control that HDLs, such as Verilog, provides. It will support an object oriented programming model, abstract data and signal types, and compile-time scripting.
    Downloads: 0 This Week
    Last Update:
    See Project
  • Claims Processing solution for healthcare practitioners. Icon
    Claims Processing solution for healthcare practitioners.

    Very easy to use for medical, dental and therapy offices.

    Speedy Claims became the top CMS-1500 Software by providing the best customer service imaginable to our thousands of clients all over America. Medical billing isn't the kind of thing most people get excited about - it is just a tedious task you have to do. But while it will never be a fun task, it doesn't have to be as difficult or time consumimg as it is now. With Speedy Claims CMS-1500 software you can get the job done quickly and easily, allowing you to focus on the things you love about your job, like helping patients. With a simple interface, powerful features to eliminate repetitive work, and unrivaled customer support, it's simply the best HCFA 1500 software available on the market. A powerful built-in error checking helps ensure your HCFA 1500 form is complete and correctly filled out, preventing CMS-1500 claims from being denied.
  • 10
    Firmware development/ improvement for the digital storage oscilloscope "Welec 2000a- series".
    Leader badge
    Downloads: 26 This Week
    Last Update:
    See Project
  • 11
    FUI Audio DAC

    FUI Audio DAC

    FPGA-Based USB-Input Audio Digital to Analogue Converter

    An open-hardware and -firmware project that implements a USB-input fully-digital class-D audio amplifier. All processing is done on FPGA, including the USB-physical, USB-SIE, HID interface, clock-recovery, bus voltage regulation, noise-shaping and PWM output. A Microchip PIC based remote control is also included. The remote maps to the media buttons of the USB HID interface. The volume control, next track, previous track, stop and play/pause functions are supported.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 12

    pyrpl

    PyRPL turns your Red Pitaya into a powerful analog feedback device.

    The Red Pitaya is a commercial, affordable FPGA board with fast analog inputs and outputs. This makes it useful for quantum optics experiments, in particular as a digital feedback controller for analog systems. Based on the open source software provided by the board manufacturer, PyRPL (Python RedPitaya Lockbox) implements many devices that are needed for optics experiments with the Red Pitaya. PyRPL implements various digital signal processing (DSP) modules (see features below). It allows...
    Downloads: 59 This Week
    Last Update:
    See Project
  • 13
    Approximate Recursive Multipliers
    We provide an open-source library of approximate recursive multipliers described using Verilog HDL. In case of usage please refer to: H. Waris, C. Wang, C. Xu and W. Liu, "AxRMs: Approximate Recursive Multipliers using High-Performance Building Blocks," in IEEE Transactions on Emerging Topics in Computing, doi: 10.1109/TETC.2021.3096515.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 14
    Approximate Arithmetic Library
    We provide an open-source library of approximate arithmetic modules (adders and multipliers) described using Verilog HDL. In case of usage please refer to: H. Waris, C. Wang, W. Liu, J. Han and F. Lombardi, "Hybrid Partial Product-based High-Performance Approximate Recursive Multipliers," in IEEE Transactions on Emerging Topics in Computing, doi: 10.1109/TETC.2020.3013977.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 15

    VlibTools

    Tools and libraries for use with systemc and verilog

    Tool suite and libraries for developing system-c models. Tools for managing RTL projects.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 16
    Controlix

    Controlix

    An operating system written in RTL

    Controlix is a virtual-circuit based operating system written in RTL.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 17
    Software and HDL code for Elphel reconfigurable network cameras
    Downloads: 1 This Week
    Last Update:
    See Project
  • 18
    Convert C++ software programs into synthesisable Verilog using the Clang compiler frontend to parse and SystemC for intermediates.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 19

    Gen CSRs

    Control/Status Register (CSR) Generator for FPGA and ASIC

    CSR generator takes an input XML description and generates Verilog CSR implementation on APB bus, documentation, C header files and test collaterals.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 20
    CoolFormat

    CoolFormat

    CoolFormat Source Code Formatter

    CoolFormat Source Code Formatter is a code formatter for C\C++\C#\CSS\HTML\Java\JavaScript\JSON\Objective-C\PHP\SQL\Verilog\XML. It supports code highlighting for web publishment which is truly convenient for writing and reading a blog post, etc. CoolFormat source code formatting is a C\C++\C#\CSS\HTML\Java\JavaScript\JSON\PHP\SQL\XML code formatting tool. The software can quickly format in multiple styles and colorize the language. The interface adopts the style of Office 2010...
    Downloads: 1 This Week
    Last Update:
    See Project
  • 21
    DRAKON Editor

    DRAKON Editor

    A free cross-platform editor for the DRAKON visual language.

    ... DRAKON Editor to build algorithms in Go, Java, Processing.org, D, C#, C, C++, Python, Tcl, Javascript, Erlang and Lua.
    Leader badge
    Downloads: 45 This Week
    Last Update:
    See Project
  • 22
    Integrate green/free toolchains, For build same source code on Win/Linux conveniently. Language: [C] [C++] [ASM] [Verilog] [Python3] [Perl] With toolchains: [mingw32] for win [iverilog] (for win, Todo: Compile Script) [Python3.4] for win [NSAM 2.11.08] for win [Tinyperl]for win
    Downloads: 0 This Week
    Last Update:
    See Project
  • 23
    Tools for FPGA development and IP cores. This project provides tools, cores and documentation to develope FPGA applications. The project focuses on VHDL.
    Downloads: 11 This Week
    Last Update:
    See Project
  • 24

    ipdbg

    IPDBG are free tools to debug intellectual properties (IP cores).

    Downloads: 0 This Week
    Last Update:
    See Project
  • 25
    Uart32

    Uart32

    Win32 API wrappers for accessing COM Uart in blocking mode

    Uart32 is a C++ wrapper around the win32 Serial Port API that allows blocking-mode access to the COM port. This library works great for accessing a UART serial port from a background worker thread. It features: a simple c++ class. (temporarily removed for maintainance. Use DLL API Instead) built in per access timeout on data receive. a C-API wrapper that is exportable to C# DLL import class
    Downloads: 0 This Week
    Last Update:
    See Project